当前位置:  开发笔记 > 编程语言 > 正文

从Chisel代码生成Verilog代码的最简单方法

如何解决《从Chisel代码生成Verilog代码的最简单方法》经验,为你挑选了1个好方法。

从现有的Chisel代码生成Verilog代码的最简单方法是什么?

我是否必须创建自己的构建文件

例如,从一个独立的scala文件(AND.scala),如下所示..

import Chisel._

class AND extends Module {
  val io = IO(new Bundle {
    val a = Bool(INPUT)
    val b = Bool(INPUT)
    val out = Bool(OUTPUT)
  })
  io.out := io.a & io.b
}

我在ubuntu 16.4下安装了完整的Chisel3工具链.



1> Jack Koenig..:

请参阅这里的答案:是否有一个如何从Chisel3模块生成verilog的简单示例?

简而言之,在项目的根目录中创建一个build.sbt文件,其中包含以下内容:

scalaVersion := "2.12.8"

resolvers ++= Seq(
  Resolver.sonatypeRepo("snapshots"),
  Resolver.sonatypeRepo("releases")
)

libraryDependencies += "edu.berkeley.cs" %% "chisel3" % "3.1.6"

将此代码添加到AND.scala

object ANDDriver extends App {
  chisel3.Driver.execute(args, () => new AND)
}

sbt run在项目根目录的命令行中键入.


非常感谢你的快速回答!猜猜我监督了另一个线程.我只是尝试过它,它的工作原理.注意:必须安装jdk8和sbt才能工作!(jdk9对我不起作用)
推荐阅读
郑谊099_448
这个屌丝很懒,什么也没留下!
DevBox开发工具箱 | 专业的在线开发工具网站    京公网安备 11010802040832号  |  京ICP备19059560号-6
Copyright © 1998 - 2020 DevBox.CN. All Rights Reserved devBox.cn 开发工具箱 版权所有